summaryrefslogtreecommitdiff
path: root/usrp2/fpga/control_lib/sd_spi_tb.v
diff options
context:
space:
mode:
authorJohnathan Corgan <jcorgan@corganenterprises.com>2010-02-28 12:47:43 -0800
committerJohnathan Corgan <jcorgan@corganenterprises.com>2010-02-28 12:47:43 -0800
commita2c00f5cff7407ff10fc6c812d06fefe52c0b6a3 (patch)
tree77121ca27b951f9bd687dbba33f6a9383ac74d5a /usrp2/fpga/control_lib/sd_spi_tb.v
parentdb29a2cfc18554ae0a3c55a4e13dc4cbfa86317f (diff)
Remove usrp1 and usrp2 FPGA files. These are now hosted at:
git://ettus.sourcerepo.com/ettus/fpga.git ...under the 'usrp1' and 'usrp2' top-level directories.
Diffstat (limited to 'usrp2/fpga/control_lib/sd_spi_tb.v')
-rw-r--r--usrp2/fpga/control_lib/sd_spi_tb.v40
1 files changed, 0 insertions, 40 deletions
diff --git a/usrp2/fpga/control_lib/sd_spi_tb.v b/usrp2/fpga/control_lib/sd_spi_tb.v
deleted file mode 100644
index e30a5bdf6f..0000000000
--- a/usrp2/fpga/control_lib/sd_spi_tb.v
+++ /dev/null
@@ -1,40 +0,0 @@
-
-
-module sd_spi_tb;
-
- reg clk = 0;
- always #5 clk = ~clk;
- reg rst = 1;
- initial #32 rst = 0;
-
- wire sd_clk, sd_mosi, sd_miso;
- wire [7:0] clk_div = 12;
- wire [7:0] send_dat = 23;
- wire [7:0] rcv_dat;
-
- wire ready;
- reg go = 0;
- initial
- begin
- repeat (100)
- @(posedge clk);
- go <= 1;
- @(posedge clk);
- go <= 0;
- end
-
- sd_spi dut(.clk(clk),.rst(rst),
- .sd_clk(sd_clk),.sd_mosi(sd_mosi),.sd_miso(sd_miso),
- .clk_div(clk_div),.send_dat(send_dat),.rcv_dat(rcv_dat),
- .go(go),.ready(ready) );
-
- initial
- begin
- $dumpfile("sd_spi_tb.vcd");
- $dumpvars(0,sd_spi_tb);
- end
-
- initial
- #10000 $finish();
-
-endmodule // sd_spi_tb